Skip to content

Instantly share code, notes, and snippets.

View sagar5258's full-sized avatar

Sagar Shah sagar5258

  • einfochips Ltd
  • Ahmedabad-India
View GitHub Profile
class transaction extends uvm_sequence_item;
rand bit [7:0] data;
rand bit [31:0] addr;
`uvm_object_utils_begin(transaction)
`uvm_field_int(addr, UVM_HEX | UVM_DEFAULT)
`uvm_field_int(data, UVM_HEX | UVM_DEFAULT)
`uvm_object_utils_end
function new(string name = "transaction");